#PACE: Start of Constraints generated by PACE #PACE: Start of PACE I/O Pin Assignments NET "mclk" LOC = "T9" ; NET "red" LOC = "R12" ; NET "grn" LOC = "T12" ; NET "blu" LOC = "R11" ; NET "hs" LOC = "R9" ; NET "vs" LOC = "T10" ; #PACE: Start of PACE Area Constraints #PACE: Start of PACE Prohibit Constraints #PACE: End of Constraints generated by PACE